在matlab中生成m序列

实验环境为matlab2013b

1、首先编写一个mseq.m文件,内容为:

function[mseq]=m_sequence(fbconnection)

n=length(fbconnection);

N=2^n-1;

register=[zeros(1,n-1) 1]; %移位寄存器的初始状态

mseq(1)=register(n); %m序列的第一个输出码元

for i=2:N

newregister(1)=mod(sum(fbconnection.*register),2);

for j=2:n

newregister(j)=register(j-1);

end;

register=newregister;

mseq(i)=register(n);

end

2、在matlab中打开这个文件

3、在matlab的命令行窗体中执行

primpoly(7,'all')

这个命令的目的是得到7阶M序列的全部本原多项式。假设想得到其它阶的m序列,自行改动数字7就可以。

4、得到的结果为

Primitive polynomial(s) =

D^7+D^1+1

D^7+D^3+1

D^7+D^3+D^2+D^1+1

D^7+D^4+1

D^7+D^4+D^3+D^2+1

D^7+D^5+D^2+D^1+1

D^7+D^5+D^3+D^1+1

D^7+D^5+D^4+D^3+1

D^7+D^5+D^4+D^3+D^2+D^1+1

D^7+D^6+1

D^7+D^6+D^3+D^1+1

D^7+D^6+D^4+D^1+1

D^7+D^6+D^4+D^2+1

D^7+D^6+D^5+D^2+1

D^7+D^6+D^5+D^3+D^2+D^1+1

D^7+D^6+D^5+D^4+1

D^7+D^6+D^5+D^4+D^2+D^1+1

D^7+D^6+D^5+D^4+D^3+D^2+1

ans =

131

137

143

145

157

167

171

185

191

193

203

211

213

229

239

241

247

253

5、输入mseq([1 0 0 0 0 0 1])

6、便可得到一个127位的m序列,整理之后为

1000000111111101010

1001100111011101001

0110001101111011010

1101100100100011100

0010111110010101110

0110100010011110001

0100001100000

说明。

1 0 0 0 0 0 1这个输入參数的物理意义为各寄存器的初始状态,其数学意义是所选用的本原多项式D^7+D^1+1中D^1到D^7的各个系数。

读者能够试试自行选用其它本原多项式。得到不同的m序列。

比如假设选用D^7+D^3+1,则仅仅需调用

mseq([0 0 1 0 0 0 1]),得到的m序列为

1000000100100110100

1111011100001111111

0001110110001010010

1111101010100001011

0111100111001010110

0110000011011010111

0100011001000